Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 [ 112 ] 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

ПРИЧУДЛИВОЕ ПОВЕДЕНИЕ

Помните, что операторы исполняются внутри процесса последовательно. Предположим, что по какой-то причине мы записали последний оператор в табл. 4.56 (присвоение значения сигналу F) первым. Тогда мы наблюдали бы довольно причудливое поведение процесса.

При первом запуске процесса моделирующая профамма пожаловалась бы, что значения переменных считываются до того, как этим переменным присвоены какие-либо значения. При последующих возобновлениях процесса сигналу F присваивалось бы значение, основанное на предыду-щга значениях переменных, которые сохранялись, пока процесс был приостановлен. Затем переменным присваивались бы новые значения, которые запоминались бы до очередного запуска процесса. Таким образом, значение сигнала на выходе схемы всегда отставало бы от значений входных сигналов на один шаг

Ради учебных целей, потоковая архитектура устройства для обнаружения простых чисел из табл. 4.50 воспроизведена в табл. 4.56 как процесс. Обратите внимание, что мы все еще продолжаем совершенствовать архитектуру того же самого объекта prime, который первоначально был объявлен в табл. 4.43. В этой новой архитектуре (prime6 arch) имеется только один параллельный оператор; этим оператором является процесс. Список чувствительности процесса содержит только массив N, представляющий собой первичные сигналы на входах устройства, реализующего желаемую комбинационную логическую функцию. Выходы вентилей И необходимо задать как переменные, а не как сигналы, поскольку внутри процесса определения сигналов не разрешены. В противном случае тело процесса было бы очень похоже на тело исходной архитектуры. На самом деле типичные программные средства синтеза, вероятнее всего, построили бы одну и ту же схему по любому из этих описаний.

Табл. 4.56. Потоковая VHDL-архитектура устройства обнаружения простыхчисел, основанная на использовании процесса

architecture prime6 arch of prime is begin

process(N)

variable N3L N0, N3L N2L N1, N2L N1 N0, N2 N1L N0: STD LOGIC; begin

N3L N0 := not N(3) and N(O)

N3L N2L N1 := not N(3) and not N(2) and N(l) N2L N1 N0 := not N(2) and N(l) and N(O)

N2 N1L N0 := N(2) and not N(l) and N(O)

F <= N3L N0 or N3L N2L N1 or N2L N1 N0 or N2 N1L N0; end process; end prime6 arch;



Другие последовательные операторы, помимо простого присваивания, дают возможность творчески подойти к описанию поведения схемы. По-видимому, самый знакомый из них - это оператор if (if statement), синтаксис которого приведен в табл. 4.57. В первой и простейшей форме этого оператора проверяется булево выражение boolean-expression и, если оно имеет значение true, то исполняется последовательный оператор sequential-statement. Во второй форме добавляется предложение else с другим последовательным оператором sequential-statement, который исполняется, если булево выражение имеет значение false.

Табл. 4.57. Синтаксис оператора if в языке VHDL

if boolean-expression then sequential-statement end if;

if boolean-expression then sequential-statement else sequential-statement end if;

if boolean-expression then sequential-statement elsif boolean-expression then .sequential-statement

elsif boolean-expression then sequential-statement end if;

if boolean-e.Kpression then sequential-.4tatement elsif boolean-expression then sequential-statement

elsif boolean-expression then sequential-statement else sequential-statement

end if;

Для образования вложенных операторов if-then-else в языке VHDL ис- пользуется специальное ключевое слово elsif, которое вводит средние предложения. Последовательный оператор sequential-statement првтожвнт elsif исполняется в том случае, когда булево выражение boolean-expression в этом предложении истинно, а все предшествующие булевы выражения boolean-expressions оказываются ложными. Последовательный оператор sequential-statement заключительного необязательного предложения else исполняется только тогда, когда все предыдущие выражения boolean-expressions имеют значения false.

В табл. 4,58 представлен вариант архитектуры устройства для обнаружения простых чисел, в котором используется оператор if. Локальная переменная N1 введена для того, чтобы отобразить преобразованное значение входного воздействия N в виде целого числа; это позволяет оперировать целыми числами при сравнениях в операторе if.

Булевы выражения в табл. 4.58 не перекрываются, то есть в любой момент времени значение true имеет только одно из них. На самом деле в данном при-



. Табл. 4.59. Синтаксис операто-

case expression is з HDL

when choices => sequential-statements

when choices => sequential-statements end case;

В табл. 4.60 приведена еще одна архитектура устройства для обнаружения простых чисел, на этот раз записанная с использованием оператора case. Подобно тому, как это было в варианте с параллельным оператором select, оператор case позволяет в очень наглядной форме задать желаемое функциональное поведение.

ложении не было необходимости использовать в полном объеме возможности вложенных операторов if. С помощью средств синтеза можно было бы построить схему, в которой вычисление логических выражений происходило последовательно, но схема при этом работала бы медленнее. Когда нужно выбирать среди нескольких альтернатив на основании значения только одного сигнала или выражения, обычно более читабельным и дающим лучший результат синтеза является оператор case {casestatement).

Табл. 4.58. Архитектура устройства для обнаружения простых чисел, в которой использован оператор if

architecture priiae7 arch of prime is begin

process(N)

variable N1: INTEGER;

begin.

N1 := CONV INTEGER(N);

if N1=1 or N1=2 then F <= 1;

elsif N1=3 or N1=5 or MI=7 or N1=11 or N1=13 then F <--= Ч; else F <= 0; end if; end process; end prime7 arch;

Синтаксис оператора case представлен в табл. 4.59. В этом операторе вычисляется заданное выражение expression, по его значению выбирается одна из альтернатив choices и исполняются соответствующие последовательные операторы sequential-statements. Заметьте, что в каждом из наборов альтернатив choices можно записать один или большее число последовательных операторов. Сами альтернативы choices могут иметь форму одного значения или нескольких значений, разделенных вертикальной чертой ( ). Альтернативы choices должны быть взаимно исключающими и содержать все возможные значения типа выражения expression; в последней альтернативе choices можно воспользоваться ключевым словом others для указания всех значений, которые еще не были упомянуты ранее.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 [ 112 ] 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.