Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 [ 313 ] 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

9.2.2. Задние огни автомобиля марки Ford Thunderbird

в параграфе 7.5 был описан и построен автомат для управления задними огнями автомобиля марки Ford Thunderbird. В табл. 9.20 представлена соответствующая VHDL-программа. Переходы автомата из одного состояния в другое происходят точно так же, как это изображено в виде диаграммы состояний нарис. 7.64. Применена запись состояний в форме выходного кода; это оказывается возможным, поскольку каждому из состояний автомата соответствует своя комбинация значений выходных сигналов, зажи.гающих задние фонари.

Табл. 9.19. VHDL-программа, реализующая принцип конечной памяти применительно к конечному автомату, управляющему кодовым замком

library IEEE;

use IEEE.std logic 1164.all;

entity Vcosblck is

port ( CLOCK, RESET, X: m STD LOGIC; UNLK: out STD.LOGIC );

end;

arcliitecture УсошЫск.агсЬ of Vcomblck is signal XHISTORY: STD LDGIC yECTDR (7 downto 1);

constant COMBINATION: STD.LOGIC.VECTOR (7 downto 1) : OilOlll ;

begin

process (CLOCK) begin

if CLOCKevent and CLOCK = 1 then

if RESET = 1 then XHISTORY <= 0000000 ;

else XHISTORY <= XHIST0RY(6 downto 1) & X;

end if; end if; end process;

MLK <= 1 when (XHISTORy=COMBIMTION) and (X=0) else 0; end Vcomblck.arch;



ВОЗМОЖНЫЕ УСОВЕРШЕНСТВОВАНИЯ

При описании конечного автомата на языке VHDL нет необходимости в явном присваивании следующего состояния, если оно остается тем же самым состоянием, в котором автомат уже находится. При исполнении процесса сигнал в языке VHDL сохраняет свое значение, если не выполняется присвоение ему нового значения. Поэтому заключительное предложение else в табл. 9.20 в состоянии IDLE можно было бы опустить, и это не повлияло бы на работу автомата.

Кроме того, можно повысить надежность конечного автомата, заменив оператор null в случае when others переходом в состояние IDLE.

Табл. 9.20. VHDL-программадля автомата, управляющего задними огнями автомобиля марюл Ford Thunderbird

entity ytbird is

pori; ( CLOCK, RESET, LEFT, RIG.4T, HAZ: in STD.LOGIC;

LIGHTS: buffer STD.LOGIC.VECTCR (1 to 6) );

end;

architecture Vtbird.arch of Vtbird is

constant IDL constant L3 constant L2 constant Lt constant Rl constant R2 constant R3 constant LR3 begin

process (CLOCK)

begin

if CLOCKeveat and CLOCK =

STD LOGIC VECTOR STD,LQGIC VECTDR Sra.LOGIC.VECTOR STD.LDGIC.VECTCR STD LQGIC VECTOR ST3 L0aiC.. VECTOR STD.LOGIC. VECTOR STD LOGIC yECTCR

to 6) to 6) to 6) to 6) to 6) to 6) to 6) to 6)

000000 111000 liOOOO 100000 000001 000011 OOOlll

iimi

then IDLE;

if RESET - 1 then LIG.4TS <= IDLE; alse case LIGHTS is

hen IDLE => ii HAZ=l or (LEFT-f and RIGHT-1) then LIGHTS <= elsif LE.ST= 1 then LIGHTS <=

elsif RIGHT=l then f.IGKTS <=

else LIGHTS <=

end it;

ii KAZ=r then LIGHTS <= LR3; else LIGHTS

=>

when Li when L2 when L3 when Rl when R2 when R3 when LR3 when others => null; end case; end xi; end if; end process?; end Vtbird.arch;

if HAZ=l uhen LIGHTS LR3; else LIGHTS LIGHTS IDLE;

if KAZ=l then LIGHTS <= LR3; if HAZ-l then LIGHTS => LIGHTS IDLE; => LIGHTS <= IDLE;

<= L2; < L3;

end end

else LIGHTS LR3; else LIGHTS

R2; R3;

end end

LR3; Ll; Rl; IDLE;

if; if;

if; if;



9.2.3. Игра на угадывание

Задача построения автомата для ифы на угадывание бьша сформулирована следующим образом:

Построить тактируемый синхронный автомат с четырьмя входами G1-G4, подключенными к кнопкам. У автомата четыре выхода L1-L4, к которым подключены лампочки или светодиоды, расположенные рядом с кнопками с теми же номерами. Имеется также выход ERR, к которому подключена красная лампочка. При нормальной работе на выходах L1-L4 индицируется комбинация 1 из 4 . На каждом такте комбинация сдвигается на одну позицию; частота тактового сигнала равна 4 Гц.

Задача игрока состоит в том, чтобы вовремя нажать кнопку, соответствующую горящей лампочке. При нажатии г-ой кнопки вырабатывается единичный сигнал Gi. Если подан неправильный сигнал, то возникает сигнал на выходе ERR и загорается красная лампочка, это происходит в том случае, когда автомат на очередном такте обнаруживает сигнал, номер которого не совпадает с номером лампочки, зажженной на предыдущем такте. Когда кнопка нажата, игра останавливается, и сигнал на выходе ERR сохраняет свое значение в течение одного или нескольких тактов, пока не будет снят удерживаемый вами сигнал Gi, и тогда ифа возобновляется.

Как мы видели в разделе 7.7.1, у этого автомата шесть состояний: четыре состояния соответствуют зажженным лампочкам, а два - для тех случаев, когда игра остановлена после правильного или ошибочного нажатия кнопки. VHDL-программа для игры на угадывание приведена в табл. 9.2 L В этом варианте устройство имеет также вход RESET: сигнал на этом входе заставляет устройство перейти в известное начальное состояние.

Эта профамма является почти непосредственным переводом на язык VHDL диафаммы состояний, изображенной на рис. 7.66. Единственной, по-видимому, ее особенностью, которая заслуживает упоминания, является случай SOK SERR . Поскольку переходы из этих двух состояний в следующие состояния совершенно одинаковы (нужно переходить в состояние S1 или оставаться в текущем состоянии), их можно обрабатывать как один и тот же случай. Однако эта хитрость, позволяющая уменьшить число строк в тексте профаммы, нежелательна, в частности, с точки зрения документации на этот конечный автомат и его отладки. Но автору эта хитрость позволила сократить размер профаммы до одной страницы в книге!

В программе, приведенной в табл. 9.21, юдирование состояний не задано; типичный синтезатор использует три бита для Sreg и юдирует шесть состояний в порядке следования дюичных юмбинаций 000-101. Применительно к этому конечному автомату можно воспользоваться также записью состояний в форме выходного кода, то есть представить их с помощью уже имеющихся сигналов зажигания лампочек и сигнала ошибки. В языке VHDL нет удобного механизма для объединения выходных сигналов, определенных в данном объекте, в одно целое и представления ими состояний, но этого можно все-таки достичь так, как показано в табл. 9.22. Соответствие между входными сигналами и битами в новом 5-разрядном регистре Sreg указанно в комментарии, а операторы присваивания значений выходным сигналам видоизменены таким образом, чтобы выбирать подходящий бит; а не обнаруживать состояние в иелом.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 [ 313 ] 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.