Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 [ 131 ] 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

PALieL8

12 01

13 I02

14 103

15 104

16 105

17 106

18 I07

19 08 110

Рис. 5.26. Традиционное условное обозначение микросхемы PALI 6L8

Хотя у микросхемы PAL16L8 может быть до 16 входов и до 8 выходов, она размещена в корпусе DIP всего лищь с 20 выводами, включая два вывода для подключения напряжения питания и земли (ушовые выводы 10 и 20). Это достигается благодаря наличию шести двунаправленных выводов (13-18), которые можно использовать как входы или выходы, либо как то и другое. Таким образом, различия между PAL16L8 и структурой ПЛМ сводятся к следующему:

PAL16L8 имеет фиксированную матрицу вентилей ИЛИ с семью вентилями И, постоянно соединенными с каждым из вентилей ИЛИ. Выходы вентилей И нельзя соединить с входами несюльких вентилей ИЛИ; если терм-произведение необходим двум вентилям ИЛИ, то его необходимо сформировать дважды.

Каждый выход микросхемы PAL 16L8 может находиться в третьем состоянии и управляется индивидуальным сигналом разрешения выхода с тремя состояниями, предназначенным для этого вентилем И (вентилем разрешения выходного сигнала). Следовательно, состояние выходов можно запрограммировать так, чтобы они всегда были активны, всегда бьши заблокированы или управлялись бы комбинацией входных сигналов, включенных в соответствующий терм-произведение.

достаточнолисемитермов-произведений?

Для двухуровневой структуры И-ИЛИ наихудшей логической функцией является ИСКЛЮЧАЮЩЕЕ ИЛИ (контроль четности) с п переменными; в этом случае требуется 2 -1 термов-произведений. Однако часто бывает так, что менее своенравную функцию можно реализовать с помощью микросхемы PALI 6L8 даже в том случае, когда у нее число термов-произведений больше 7. Для этого ее нужно преобразовать к 4-уровневому виду И-ИЛ И-И-ИЛ И, и тогда данная функция может быть реализована за два прохода сквозь матрицу И-ИЛИ, К сожалению, в результате использования выходных сигналов ПЛУ в качестве термов, образующихся на первом проходе, при этом удваивается задержка, так как входной сигнал должен дважды пройти через ПЛУ, прежде чем он достигает выхода.



КОМБИНАЦИОННЫЙ, НЕ КОМБИНАТОРНЫЙ!

Шагом назад при популяризации микросхем PAL было введение слова комбинаторный для обозначения комбинационных схем. Комбинационные схемы не имеют памяти: в любой момент времени их выходные сигналы определяются текущей комбинацией входных сигналов. У образованного специалиста по компьютерам слово комбинаторный ассоциируется с биномиальными коэффициентами, сложностью решения задач и гением информатики Дональдом Кнутом.

Между выходом каждого вентиля ИЛИ и внешним выводом микросхемы PAL16L8 включен инвертор.

Шесть из выходных выводов ИС PAL 16L8, названных 1/О-выводами (I/Opins), можно использовать также в качестве входов. Благодаря этому возникает много возможностей использования каждого из 1/О-выводов в зависимости от того, как запрограммировано устройство:

- Если вентиль, управляющий 1/О-выводом, вырабатывает постоянный сигнал, равный О, то выходной буфер всегда находится в третьем состоянии и вывод используется строго в качестве входа.

- Если входной сигнал на I/0-выводе не используется никакими схемами в матрице вентилей И, то вывод можно использовать строго как выход. В зависимости от того, как запрограммирован вентиль разрешения выходного сигнала, выходной буфер может быть активным всегда или только при некоторых входных условиях.

- Если вентиль, упрааляющий 1/О-вьшодом, вырабатывает постоянный сигнал, равный 1, то выходной буфер всегда активен, но данный вывод можно все же использовать также и как вход. Таким образом, выходами можно воспользоваться для образования на первом проходе вспомогательных термов в случае логических функций, которые не могут быть выполнены за один проход из-за ограничения по числу термов-произведений, доступных на одном выходе. В разделе 5.4.6 будет приведен соответствующий пример.

- В другом случае, когда для данного Ю-вывода выходной сигнал постоянно разрешен, его можно использовать в качестве входного сигнала вентилей И, результатом действия которых определяется тот же самый выходной сигнал. Другими словами, в микросхеме PAL16L8 можно создать последовательностную схему с обратной связью. Этот случай мы рассмотрим в разделе 8.2.6.

Микросхема PAL20L8 является другим комбинационным ПЛУ, подобным PAL16L8, за исключением того, что корпус этой микросхемы имеет на четыре вывода больше (эти выводы работают только на вход) и каждый из ее вентилей И снабжен еще восьмью входами, позволяющими использовать дополнительные входные сигналы. Выходы у этой микросхемы организованы так же, как у схемы PAL16L8.



быстродействие комбинационных плу

Быстродействие комбинационных ПЛУ обычно выражается одним числом /рр, характеризующим задержку прохождения сигнала от любого входа до любого выхода при произвольном направлении переключения. Выпускаются ПЛУ с различным быстродействием; широко распространены микросхемы с задержкой 10 не. В 1998 году самыми быстрыми были комбинационные ПЛУ на биполярных транзисторах PAL16L8 с задержкой 5 не и 3.3-вольтовые ПЛУ на КМОП-транзисторах G AL22LV10 с задержкой 3.5 не.

5.3.3 Универсальные матричные логические устройства

в параграфе 8.3 будут введены последовательностные ПЛУ - программируемые логические устройства, в которых некоторые или все выходы вентилей ИЛИ снабжены триггерами. Эти устройства можно запрограммировать для реализации ряда полезных функций, выполняемых последовательностными схемами.

Один тип последовательностных ПЛУ, впервые представленных фирмой Lattice Semiconductor и особенно популярных, назван универсальными матричными логическими устройствами GAL {generic array logic device). Единственное устройство GAL типа GAL16V8 можно сконфигурировать (путем программирования и создания соответствующих соединений) так, чтобы имитировались схема вида И-ИЛИ, триггеры и выходные цепи, встречающиеся во всем многообразии комбинационных и последовательностных устройств PAL, включая уже рассмотренную нами микросхему PAL16L8. Более того, конфигурация GAL может быть электрически стерта и перепрограммирована.

На рис. 5.27 показана принципиальная схема ИС GAL16V8, сконфигурированной как исключительно комбинационное устройство, подобное PALI 6L8. Эта конфигурация достигается программированием двух не показанных на рисунке соединений, управляющих архитектурой . В изображенной конфигурации устройство носит название GAL16V8C.

Самое важное, что следует отметить при сравнении ИС GAL16V8C с ИС PAL16L8, состоит в том, что между каждым выходом вентиля ИЛИ и выходным буфером с тремя состояниями включен вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ. Один из входов вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ может быть подтянут к уровню логической 1, но плавкой перемычкой соединен с землей (О В). Если эта перемычка сохранена, то вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ просто пропускает без изменений сигнал, поступающий с выхода схемы ИЛИ; но если перемычку пережечь, то вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ инвертирует сигнал, поступающий с выхода схемы ИЛИ. Говорят, что эта плавкая перемычка управляет полярностью выходного сигнала (outputpolarity) на соответствующем выходном контакте.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 [ 131 ] 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.