Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 [ 270 ] 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

соит = CNTEN & Q4 & Q3 & Q2 & Q1 & QO;

Поскольку правая часть равенства содержит сигнап CNTEN, такой подход допускает сквозной перенос в многокаскадных счетчиках, если выход Соит в каждом каскаде соединен с входом CNTEN в следующем каскаде.

Правая часть равенства для сигнала переноса на регистровом выходе {registered carry output) указывает, что следующим состоянием счетчика будет последнее его состояние перед тем, как счет начнется сначала. Таким образом, на следующем такте счетчик входит в свое последнее состояние и сигнал переноса переходит на активный уровень. В случае 5-разрядного счетчика с входами загрузки и сброса имеем:

соит := ICLR & LD & CNTEN

& Q4 & Q3 & Q2 & Q1 & 1Q0

# ICLR * iLD ♦ 1CNTEN

fe Q4 & Q3 & Q2 & QI & QO

# ICLR & LD

& D4 & D3 & D2 & DI & DO;

Достоинство второго подхода заключается в том, что сигнал COUT вырабатывается с меньшей задержкой, чем при комбинационном подходе. Но теперь требуются внешние вентили между каскадами, поскольку сигнал CNTEN в каждом каскаде должен быть результатом объединения по И главного сигнала разрешения счета и выходных сигналов COUT всех каскадов, младше данного. Необходимости помещения внешних вентилей можно избежать при наличии у старших каскадов счетчика нескольких входов разрешения.

8.4.6. Описание счетчиков на языке VHDL

Как и язык ABEL, VHDL позволяет совсем легко описывать счетчики. Наибольшее затруднение при этом может возникнуть только из-за строгих требований в языке VHDL к типам сигналов, которые должны быть определены правильно и последовательно.

В табл. 8.14 представлена VHDL-программа для двоичного счетчика типа 74x163. В программе используется библиотека IEEE. std logic arith. all, включающая тип UNSIGNED, как это было объяснено в разделе 5.9 6. Эта библиотека содержит определения операторов + и посредством которых выполняются сложение и вычитание без знака операндов типа UNSIGNED. В программе для счетчика входы и выходы счетчика объявлены как векторы типа UNSIGNED, а с помощью оператора + осуществляется требуемое инкремен-тирование содержимого счетчика.

Для хранения содержимого счетчика в профамме определен внутренний сигнал IQ. Можно было бы использовать для этого сигнал Q непосредственно, но тогда мы должны были бы объявить его выходным сигналом типа buffer, а не out. Кроме того, мы могли бы определить тип портов D и Q как ST D LOGI C VECTOR, HO тогда нам пришлось бы выполнять преобразование типов в теле процесса (см. задачу 8.51).



Табл. 8.14. VHDL-программа для 4-разрядного двоичного счетчика типа 74x163

library IEEE,

use IEEE std.logic 1164 all; use IEEE.s:;d logic arith all;

entity V74xl63 is

port ( CLK, CLR L, LD L. EKP, ENT in STD LOGIC, D. m USSIGSED (3 downto 0), Q. out Ul.SIGKED (3 downto 0), RCO- out STD LQGTC ),

end У74х1бЗ;

ar-hitecture \f74xl63 arch of V74xl63 is signal IQ UNSIGNED (3 downto 0);

begin

piocess (CLK, ЕЧТ, IQ) oegm

If (CLKevent and CLK=l) then

If CLR L=0 then IQ < = (others => 0);

elsif LD L= 0 then IQ <= D;

elsif (ENT and ENP)=l then IQ <= IQ + 1;

end if, end if;

If (IQ=15) and (ENT=i) then BCD <= 1, else RCO <= 0, end if,

Q <= IQ; end process; end mxl63 arch;

Воспользовавшись поведенческим описанием на языке VHDL, столь же легко, как и на языке ABEL, задать определенную последовательность состояний В табл 8.15, например, счетчик типа 74x163 видоизменен таким образом, чтобы счет происходил согласно коду с избытком 3 (3, 12, 3, ...).

К сожалению, некоторые VHDL-средства синтезируют счетчики не совсем удачно. В частности, они пытаются реализовать одиночный шаг в счете посредством двоичного сумматора, операндами которого служат содержимое счетчика и константа, равная 1. При таком подходе требуется много больше комбинационной логики, чем в счетчиках, изготовляемых в виде отдельных ИС, и этот подход оказывается особенно расточительным применительно к ИС типа CPLD и FPGA, содержащим Т-триггесы, вентили ИСКЛЮЧАЮЩЕЕ ИЛИ и другие структуры, специально оптимизированные для построения счетчиков. В этом случае полезной альтернативой является написание структурной VHDL-профаммы, ориентированной на имеющиеся в наличии ячейки в тех конкретных ИС типа CPLD и FPGA или в специализированных ИС, в которых предстоит реализовать проектируемое устройство.



Табл. 8.15. VHDL-архитектура для счета в порядке, задаваемом кодом с избытком 3

architecture V74xs3 arch of V74xl63 is signal IQ: UNSIGNED (3 downto 0); begin

process (CLK, ENT, IQ) begin

if CLKexent and Cl,K=l then

if CLR L=0 then IQ <= (others => 0); eisif LD L=0 then IQ <= D;

elsif (ENT and EKP)=l and (IQ=12) xhen IQ <= (0,0,1,i) elsif (ENT and ENP)=l then IQ <= IQ + 1; > - .

end if; end if;

if (IQ=12) and (ENT=l) then RCO <= Ч; else RCO <= 0; end if; Q IQ; end process; end V74xs3 arch;

Одноразрядную ячейку для счетчика типа 74x163 можно построить, например, так, как показано на рис. 8.45. Эта схема рассчитана на последовательное распространение битов переноса, так что ею можно воспользоваться в любом каскаде произвольно большого счетчика; единственным ограничением будет коэффициент разветвления по выходу источников сигналов, являющихся общими для всех каскадов. Определения сигналов в одноразрядной ячейке таковы:

CLK Тактовый сигнал, общий для всех каскадов. LDNOCLR Общий для всех каскадов сигнал, принимающий единичное значение, когда на вход счетчика LD сигнал подан, а сигнал CLR отсутствует

NOCLRORLD Общий для всех каскадов сигнал, принимающий единичное значение, когда отсутствуют сигналы на обоих входах счетчика CLR hLD.

CNTENP Общий для всех каскадов сигнал, равный 1, если на вход счетчика ENP подан сигнал разрешения. Di Индивидуальный входной сигнал загрузки данных /-й ячейки. С NTENi Индивидуальный последовательный входной сигнал разрешения счета /-Й ячейки.

CNTENi+1 Индивидуальный последовательный выходной сигнал разрешения счета i-й ячейки. Qi Индивидуальный выходной сигнал счетчика в i-м разряде.

В табл. 8.16 приведена VHDL-профамма, соответствующая схеме одноразрядной ячейки, показанной на рис. 8.45. В этой профамме предполагается, что D-триггер в виде компонента Vdf f qqn уже определен; он подобен D-триггеру из



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 [ 270 ] 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.