Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 [ 163 ] 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

type SIGNED IS array NATURAL range < >) STD LOGIC; type UNSIGNED IS array (NATURAL range < >) STD LOGIC;

Отсюда видно, что оба типа определены как массивы элементов типа S TD LOGI С неопределенной длины и не отличаются от STD LOGIC VECTOR. Важно, что в пакете определены также новые функции сравнения, которые вызываются в тех случаях, когда один или оба операнда сравнения являются элементами одного из новых типов. Например, в пакете имеются восемь новых функций меньше со следуюшими комбинациями параметров:

function < (L: UNSIGNED; R: UNSIGNED) return BOOLEAN;

function < (L: SIGNED; R: SIGNED) return BOOLEAN;

function < (L: UNSIGNED; R: SIGNED) return BOOLEAN;

function < (L: SIGNED; R: UNSIGNED) return BOOLEAN;

function < (L: UNSIGNED; R: INTEGER) return BOOLEAN;

function < (L: INTEGER; R: UNSIGNED) return BOOLEAN;

function < (L: SIGNED; R: INTEGER) return BOOLEAN;

function < (L: INTEGER; R: SIGNED) return BOOLEAN;

Таким образом, оператор < можно использовать в любой комбинации операндов SIGNED, UNSIGNED и INTEGER; компилятор выбирает ту функцию, типы параметров у которой соответствуют фактическим операндам. Каждая из функций в пакете определена так, чтобы все делать правильно , включая соответствующие расширения и преобразования, когда встречаются операнды разных размеров или типов. Аналогичные функции предусмотрены для пяти других операторов отношения: =, /=, <=, > и >=.

Используя пакет IEEE std logic arith, можно писать профаммы подобно тому, как это сделано в табл. 5.51. В ней А, В, С и D являются 8-разрядными входными векторами трех различных типов. В сравнениях, включающих А, В и С, компилятор автоматически выбирает правильный вариант функции сравнения; например, для А<В он выбирает первую из приведенных выше функций < , потому что оба операнда принадлежат типу UNSIGNED.

В сравнениях, включающих D, используются явные преобразования тигюв Предполагается, что разработчик хочет, чтобы этот конкретный массив типа STD LOGIC VECTOR интерпретировался как элемент типа UNSIGNED в одном случае и как SIGNED в другом. Здесь важно понимать, что в пакете std logic ar ith не делается никаких предположений относительно того, как должны интерпретироваться массивы типа STD LOGIC VECTOR; указать необходимое преобразование должен пользователь.

В двух других пакетах std logic signedH std logic unsigned, приняты определенные предположения относительно массивов типа STD LOGIC VECTOR, и эти пакеты полезны в том случае, когда все элементы типа STD LOGIC VECTOR должны интерпретироваться одинаково. Каждый пакет содержит три варианта каждой из функций сравнения для того, чтобы при сравнении друг с другом или с целыми числами элементы типа STD LOGIC VECTOR интерпретировались как элементы типа SIGNED или элементы типа UNSIGNED соответственно.



Табл. 5.51. Поведенческая VHDL-программа сравнения 8-разрядных целых чисел различных типов

library IEEE;

use IEEE.std logic.lle4 all. use IEEE.std.logic.arith.all;

entity Tcompa is port (

A, B. in UNSIGNED (7 downto 0);

C: m SIGNED (7 downto 0);

D- m STD.LOGIC.VECTOR (7 downto 0);

A LT.B, B.GE.C, A.EQ.C, C.NEG, D.BIG, D.NEG: out STD.LOGIC

end vcompa,

architecture vcompa.arch of vcompa is begin

process (A, B, C, D) begin

A.LT.B <= 0; B.GE.C <= 0; A.EQ.C <= 0; C.NEG <= 0, D.BIG <= 0; D.NEG <= 0; If A < В then A.LT.B <= 1; end if; If В >= с then B GE C <= 1; end if; if ft = С then A EQ C <= i; end if; If С < 0 then C.NEG <= 1; end if, if UNSIGNEDCD) > 200 then D.BIG <= 1; end if; If SIGNED(D) < 0 then D.NEG <= 1, end if; end process; end vcoispa.arch,

Если в VHDL-программе имеется функция сравнения, то ее реализация в виде двухуровневой суммы произведений потребует столько же термов-произведений, сколько и в языке ABEL. Однако большинство VHDL-средств синтеза реализуют компаратор в виде итерационной схемы с гораздо меньшим числом вентилей, хотя и с большим числом уровней логики. Кроме того, лучшие профаммные средства синтеза обнаруживают возможность удаления целых схем компараторов. Например, в профамме, приведенной в табл. 5.50, каждый из выходов NE, GE и LE можно реализовать путем инвертирования выходов EQ, LT и ОТ соответственно, используя для этого всего лишь по одному инвертору.

*5.10. Сумматоры, вычитающие устройства и АЛУ

В цифровых системах сложение является самым распространенным арифметическим действием. Сумматор (adder) объединяет два арифметических операнда по правилам сложения, описанным в главе 2. Как было показано в параграфе 2.6, одни и те же правила сложения справедливы для чисел без знака и для чисел, представленных в дополнительном двоичном коде; поэтому в обоих случаях используются одни и те же сумматоры. Сумматор может вьшолнять вычитание путем сложения уменьшаемого и дополнения к вычитаемому (инвертированного вычитаемого); но можно построить и вычитающее устройство (subtractor), которое выполняет вычитание непосредственно. ИС средней степени интефации, выполняющие сложение, вычитание и другие действия в зависимости от кода one-



рации на управляющих входах, называются арифметическо-логическими устройствами (АЛУ); они описаны в разделе 5.10.6.

*5.10.1. Полусумматоры и полные сумматоры

Простейщий сумматор, называемый полусумматором {half adder), складывает два 1 -разрядных операнда X и Y, образуя 2-разрядную сумму. Сумма может принимать значения от О до 2, требуя для своего представления двух битов. Младщий бит суммы можно назвать полусуммой HS, а старший бит- переносом СО (в старший разряд). Для величин HS и СО можно записать следующие выражения:

HS = xev

= XY + XY СО = XY

Чтобы сложить операнды с большим числом двоичных разрядов, необходимо обеспечить перенос между разрядами. Стандартный блок, применяемый для этой операции, называется полным сумматором {full adder). Помимо входов для битов слагаемых X и Y, у полного сумматора есть вход для бита переноса С1N. Сумма трех входных битов может принимать значения от О до 3; для ее представления по-прежнему достаточно двух выходных битов S и COUT, значения которых определяются следующими соотношениями:

S = xeYeciN

= XYCIN+XYCIN+XYCIN+XYCIN COUT = XY + XCIN+YCIN

Здесь S = 1, если на нечетном числе входов присутствуют единицы, а COUT = 1, если единицы имеются на двух или большем числе входов. Эти соотношения представляют ту же самую операцию, которая определяется таблицей двоичного сложения (табл. 2.3).

Одна из возможных схем, реализующих соотношения, которыми описывается полный сумматор, приведена на рис. 5.86(a). Соответствующее условное обозначение дано на рис. 5.86(b). Иногда для более аккуратного изображения схем с последовательно включенными полными сумматорами их обозначают так, как показано на рис. 5.86(c); именно такое обозначение применено в следующем разделе.

*5.10.2. Сумматоры со сквозным переносом

Два и-разрядных двоичных слова можно сложить с помощью сумматора со сквозным переносом {ripple adder), состоящего из и последовательно включенных полных сумматоров, каждый из которых оперирует с одним битом. На рис. 5.87 показана схема 4-разрядного сумматора со сквозным переносом. На входе переноса младшего разряда (с) обычно устанавливается О, а выход переноса каждого из полных сумматоров соединен со входом переноса полного сумматора в следующем разряде. Согласно определению, данному в разделе 5.9.2, сумматор со сквозным переносом является классическим примером итерационной схемы.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 [ 163 ] 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.