Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 [ 240 ] 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

хождения входного сигнала. В таких случаях необходимо, чтобы инверторы были гарантированно более быстродействующими, нежели логика возбуждения; это не так уж тривиально как при разработке печатной платы, так и при профаммировании ИС. Если бы, например, схема, представленная на рис. 7.101, физически строилась на элементах И-ИЛИ-НЕ, то задержка на пути от входов до точки Y1 L могла бы быть совсем малой и иметь значение порядка задержки при прохождении через один инвертор.

В большинстве схем классического образца существенные источники опасности можно обнаружить, но не во всех. Для этого есть простое правило, и оно является следствием более или менее принятого определения существенного источника опасности ;

Таблица потока схемы классического образца содержит источник существенной опасности в отношении устойчивого состояния в целом S и входного сигнала X, если в результате фех последовательных переходов в сигнале X схема, начиная с состояния S, достигает устойчивого состояния в целом, отличающегося от того устойчивого состояния в целом, которого схема достигает в результате одного перехода в сигнале X, начиная с состояния S.

По этому правилу существенный источник опасности в ловушке импульсов обнаруживается в результате прохода по сфелкам в таблице переходов (рис. 7.102), начиная с внутреннего состояния 10 при Р R = 10.

Существенный источник опасности возможен только в такой схеме классического образца, у которой есть, как минимум, фи состояния, так что у защелок нет существенных источников опасности. С другой стороны, у всех триггеров (то есть у схем, чувствительных к входным сигналам на фронте тактового сигнала) они имеются.

*7.10.7. Краткие выводы

в заключение перечислим вновь все шаги, через которые надо пройти при проектировании последовательностной схемы с обратной связью:

! Из словесного описания схемы составить примитивную таблицу потока.

2. Минимизировать число состояний в таблице потока.

3. Найти свободное от гонок кодирование состояний, добавляя при необходимости вспомогательные состояния или расщепляя имеющиеся.

4. Составить таблицу переходов.

эти источники ОПАСНОСТИ ВООБЩЕ-ТО СУЩЕСТВЕННЫ

Существенные источники опасности называются существенными , потому что они присущи таблице потока данной последовательностной функции и будут присутствовать в любой схемной реализации этой функции. Эти источники опасности можно скомпенсировать только регулировкой задержек в схеме. Сравните эти случаи со статическими источниками опасности в комбинационной логике, которые можно, в принципе, исключить, добавив консенсусные термы в логическое выражение.



ПОСЛЕДНИЙ ВОПРОС

Коль скоро так трудно построить схему классического образца, которая работала бы нужным образом, не говоря уж о ее быстродействии и компактности, как могла кому-то придти в голову идея серийного D-триггера из 6 вентилей с 8-ю состояниями (см. рис. 7.20)? Не спрашивайте меня, я не знаю!

5. Начертить карты возбуждения и найти не содержащую источников опасности реализацию уравнений возбуждения.

6. Проверить, нет ли существенных источников опасности. Видоизменить схему, если это необходимо, так, чтобы минимальные задержки в цепях возбуждения и обратной связи были больше максимальных задержек в инверторах и других элементах входной логики.

7. Нарисовать принципиальную схему.

Заметьте также, что во многих схемах вполне может быть нарушено основное предположение, касающееся схем классичесюго образца и состоящее в том, что изменения входных сигналов происходят по отдельности. Например, входной сигнал D у переключающегося по положительному фронту D-триггера может измениться в тот же момент времени, когда сигнал CLK переходит из 1 в О, и схема при этом все же будет работать правильно. Но можно ли сказать то же самое о моменте перехода сигнала CLK из О в 1 ? Чтобы гарантировать надлежащую работу схемы в подобных специальных случаях, необходимо проанализировать таблицу переходов и саму схему путем перебора всех возможных ситуаций.

7.11. Особенности проектирования последовательностных схем на языке ABEL

7.11.1. Регистровые выходы

в языке abel имеются средства для построения последовательностных схем. Как будет объяснено в парафафе 8.3, в большинстве случаев пользователь ПЛУ может сделать выходы регистровыми {registered outputs), поместив вслед за логикой И-ИЛИ D-фиггеры, как показано на рис. 7.103. Для того, чтобы один или большее число выходов были регистровыми, необходимо в объявлении выводов в профамме на языке abel поместить предложение istype с ключевым словом reg (а не com ) для каждого регистрового выхода. Пример профаммы с фемя регистровыми и двумя комбинационными выходами приведен в табл. 7.22.

>

D Q 6. Q

Рис. 7.103. Регистровый выход ПЛУ

рю-а вывод выхода



732 Глава 7. Принципы проектирования последовательностных схем Табл. 7.22. Программа на языке ABEL с регистровыми выходами

module CoirbLock

Title Coffibination-Lock State Machine

Input and Outputs

X, CLOCK pia;

UNLK, HINT pm istype сош*;

QI, Q2, Q3 pin istype reg;

Q = [QI..QS];

Equations

Q.CLK = CLOCK; Q.OE = 1; State variables

QI := QI & fQ2 & X # !Q1 & Q2 Q3 & !X # QI & Q2 & !Q3; Q2 := !Q2 & Q3 & X # Q2 & !Q3 fe X; Q3 := Qi к !Q2 & iQ3 # QI & Q3 & !X # !Q2 & !X # !Q1 к fQ3 & iX # Q2 & !Q3 & X;

Mealy outputs

UMLK = Qi & Q2 & Q3 & X;

HINT = !Q1 & !Q2 к !Q3 & !X # QI & Q2 & X # !Q2 & Q3 & X # Q2 & Q3 & !X # Q2 & !Q3 & X;

end CombLock

Как следует из рис. 7.103, у регистрового выхода имеются, по меньшей мере, два других связанных с ним атрибута. Буфер с тремя состояниями, помещенный перед выходным выводом, управляется сигналом разрешения выхода ОЕ, а у самого триггера имеется вход тактового сигнала CLK. Из профаммы в табл. 7.22 видно, что сигналы, подаваемые на эти входы, определяются в разделе равенств. Каждому из этих сигналов присваивается имя соответствующего основного выходного сигнала, наращиваемое суффиксом-признаком . CLK или . ОЕ. В некоторых ПЛУ у триггеров имеются дополнительные входы для подачи управляющих сигналов. Например, входные сигналы асинхронной установки в единичное состояние и сброса имеют суффиксы-признаки . АР и . AR, сигналы синхронной установки и сброса - суффиксы . SP и . SR. В ряде ПЛУ возможно использование не D-фиггеров, а фиггеров других типов; имена их входных сигналов обозначаются суффиксами типа . J и . К.

В разделе ABEL-профаммы equations логическое значение сигнала на регис-фовом выходе устанавливается тактируемым оператором присваивания (clocked assignment operator) : =. При компиляции схемы в ПЛУ сигнал, задаваемый выражением в правой части, подается на вход D выходного триггера. Действуют те же самые правила, что и в случае комбинационного выхода в отношении управления



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 [ 240 ] 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.