Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 [ 201 ] 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Табл. 6.38. Объявление объекта

libriiry IEEE; TwoInRow

use IEEE.std logic 1164: all; use work TTTdefs.all;

entity TwoIsRov is

port ( X, Y: m TTTgiid;

MOVE, out rrxmove ); end TwoInRow;

В архитектуре определены несколько функций, в каждой из которых решается, будет ли победным (с точки зрения игрока X) ход в конкретную клетку i,j. Победный ход существует, когда клетка i, j пуста и две другие клетки в той же строке, в том же столбце или на той же диагонали содержат метку X. Функции R и С выполняют поиск победного хода в клетки i, j по строкам и столбцам соответственно. Функции D и Е осуществляют то же самое по двум диагоналям.

В единственном процессе архитектуры объявлены девять переменных G11-G33 типа BOOLEAN для указания возможности победного хода в каждую клетку. В начале процесса каждой из этих переменных присваивается значение TRUE, если посредством вызова нужных функций и объединения их результатов устанавливается, что ход в клетку i, j возможен.

Остальная часть процесса представляет собой оператор i f с большой глубиной вложений, который ищет победный ход во все возможные клетки. Хотя обычно это приводит к синтезу более медленной логики, тем не менее, вложенный оператор if предпочтительнее, нежели какая-либо разновидность оператора case , поскольку может быть несколько допустимых ходов. Если победного хода нет, то соответствующей переменной присваивается значение NONE .

функции чистые и нечистые

Помимо индекса клетки i, j, в функции R, С, D и Е в табл. 6.39 передается состояние игрового поля в виде массивов X и Y. Это необходимо делать потому, что, по умолчанию, VHDL-функции являются чистыми (риге), а это означает, что сигналы и переменные, объявленные в структуре, порождающей функцию, непосредственно не видимы в пределах функции. Однако это ограничение можно ослабить путем явного объявления функции нечистой, помещая ключевое слово impure перед ключевым словом function в ее определении.

В архитектуре в табл. 6.37 объявлены и используются только два компонента: TwoInRow и PICK, которые вскоре будут определены. От двух блоков TwoInRow к блоку PICK поступают только два внутренних сигнала WIN и BLK, приводящие к победному или блокирующему ходу, как и на рис. 6.17. Обработка этих сигналов производится всего лишь тремя операторами в исполняемой части архитектуры, соответствующими блокам, указанным на рисунке.

Теперь пришла очередь интересной работы: нужно создать отдельные объекты, изображенные на рис. 6.17. Начнем с объектов TwoInRow, так как они составляют две трети проекта. Согласно табл. 6.38, объявление такого объекта не представляет труда. Но в отношении его архитектуры, приведенной в табл. 6.39, есть целый ряд вопросов, которые следует обсудить.



Gil Gi2 G13 G21 G22 G23

R(X,Y,1,1) or C(X,Y,1,1) or D(X,Y,i,l);

= R<X,Y,i,2) or C<X,y,1.2)

= R(X,Y,i,3) or C(X,Y,1,3)

= R(X,y.2,i) or C(X,¥,2,1)

R(X,y,2,2) or C(X,Y,2,2)

= R(X,y.2.3) or C(X,Y,2,3)

or E(X.Y,1,3);

or D(X,Y,2,2) or E(X,¥,2,2);

абл. 6.39. Архитектура объекта TwolnRow architecture TaoInBow arch of TaolnRow is

fanotion R(X, Y; TTTgrid; i. j: ISTEGER) return BOOLEAN is variable result: BQOLEAS;

begin - Find 2-in-ro viztx empty cell i,j

result := ТЙОЕ; for jj in 1 to 3 loop

if jj = j then result := result and X(i3(jj)~0 and Y<i) <jj)==0; else result ;= result aad X(i)(j1; ead if; end loop; return result; end R;

function C(X, Y: TTTgrid; i, j: INTEGER) return BOOLEAN is variable result: BOOLEAN;

begin - Find 2-in-coltton tfitb empty cell i,j

result := TRUE; for ii in 1 to 3 loop

if ii = i then result :~ result and X(ii){j)=0/and Y(ii)(j)=0; else result := result and X(ii)(j)=1; end if; end loop; return result; er.d C;

function D(X, Y; TTTgrid; i, j; INTEGER) return BOOLEAH is

variable result: BOOLEAN; - Find 2-ixi-diagonal with empty cell i,j,

begin - Biis is for 11, 22, 33 diagonal,

result ; TRUE; for ii in 1 to 3 loop

if ii = i then result := result and X(ii)(ii) 0 and y(ii)(ii)=0; else result := result and X(ii)(ii) 1; nd if; end loop; return result; end D;

function E(X, Y; TTTgrid; i, J: INTEGER) return BOOLEAH is

variable result: BOOLEAN; - Find 2-ia-diagonal with empty cell i,j.

begin This is for 13, 22, 31 diagonal,

result ;= ТКИЕ; for ii in 1 to 3 loop

if ii = i then result := result and X<ii)(4~ii)=0 and Y(ii)(4-ii)=0; else result := result aad X(ii)(4-ii)=1; end if; end loop; return result; end E; begin

process (X, Y)

variable Gli, G12, 013, G21, G22, G23, G3l, G32, G33: BOOLEAN; begin



Табл. 6.39. Архитектура объекта TwoInRow (продолжение)

G31 := R(X,Y,3,l) or ССХД.ЗД) or Е(Х.У,3.1); G32 := R(X,Y,3,2) or C(X,Y,3,2); G33 ;= R(X,Y,3,3) or C<X.Y,3,3) or D(X,y,3,3); if Gil then MOVE <= MOVEii; elsif G12 then HOVE <- M0VE12; elsil G13 then MOVE <= M0VE13; elsif G2! then .MOVE M0VE21; slsif G22 then .MOVE <= M0VE22; elsif G23 then MOVE <= M0VE23; elsif G31 then MOVE K0VE31; slsif G32 then MOVE <= M0VE32; elsif G33 then MOVE <= M0VE33; else KOVE KO.ME;

end if; end process; ead TaoInBow arch;

Табл. 6.40. VHDL-программа для блока, который делает победный или блокирующий ходы в игре в крестики и нолики, либо использует опыт при выборе очередного хода, когда победного и блокирующего ходов нет

library IEEE;

use IEEE..std.logic..il64.all; use work.TTTdefs.all;

entity PICK is

port ( X, Y: in TTTgrid;

mnm, 3LKMV: Ы STD L0GIC VECT0R(3 ао гЛо O); MOVE; out STD LOGIC VSCT0R(3 doweto 0) );

end PICK;

architecture PICK arch of PICK is fiar-ction KTCX, Y: TiTgrid; i, j: begin

return X(i)(j)-0 aad Y(i)(j end KT; begin

process (X, Y, mum, BLKKV) begin

if WTHbfV /= SONE then MOVE elsif SLKMV /= NONE then MOVE elsif MT(X,Y,2,2) cheu MOVE elsif MT(X,Y,1,1) then MOVE elsif .4T(X,Y,1,3) then .MOVE elsif MT(X,Y,3.1) then MOVE elsif MT(X,Y,3,3) then .MOVE elsif MT(X,Y.1,2) then MOVE elsif .MT(X,Y,2,1) then MOVE elsif MT(X,Y,2,3) then MOVE alsif MT(X,Y,3,2) then MOVE else MOVE

end if; sad process; end PICK.arch;

INTEGEE) retBr.n BODLEAH is - Deternine if cell i,j is empty ) 0;

<= V.IN.4V; <= ELKMV; <= M0/E22 <= MOVE11 <= M0VE13 <= M0VE31 <= MQVE33 <= M0VEi2 <= H0VE21 <- M0VE23 <= M0VE32 NONE;

If available, pick: winning move else blocking move else center cell else corner cells

- else side cells

else grad is full



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 [ 201 ] 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.