Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 [ 140 ] 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

74x138

CS L. RD L .

АО .

Gl 0G2A О G2B

YO О Yl О Y2 О Y3 О Y4 О Y5 О Ye О Y7 О

74x08

---U2

74хОВ

-- U2

Рис. 5.42. Схема заказного дешифратора

Табл. 5.12. Программа заказного дешифратора на языке ABEL

module CUSTMDEC title Customized Decoder PLD J. Wakerly, Stanford University CUSTMDEC device P16L8;

BILL L

MARY..L

JOAN. L PAUL L ANNA L FRED L DAVE L

KATE L

Input pins

!CS, !RD. AO, Al, A2

Output pins

!BILL, iMARY, !JOAN, !PAUL

lANNA, !FRED, !DAVE. !KATE

pin 1, 2, 3, 4, 5;

pin 19, 18, 17, 16 istype com; pin 15, 14, 13, 12 istype com;

equations

BILL = CS & RD & (!A2 fe !A1 & !A0);

MARY = CS fe RD fe (!A2 & !A1 & !A0 # !A2 &

KATE = CS fe RD fe (!A2 & !A1 fe AO # A2 &

JOAN = CS fe RD fe (!A2 fe Al & !A0)

PAUL = CS fe RD fe (!A2 fe Al fe AO)

ANNA = CS & RD fe ( A2 & !A1 fe !A0)

FRED = CS & RD & ( A2 & !A1 & AO)

DAVE = CS & RD fe ( A2 & Al fe !A0)

!A1 & AO); Al & AO);

end CUSTMDEC

Другой способ записи соотношений приведен в табл. 5.13. В большинстве прикладных задач этот стиль более понятен, особенно в том случае, когда сигналы на входах выбора одного из выходов имеют числовое значение.



Табл. 5.13. Эквивалентные равенства для заказного дешифратора на языке ABEL

ADDR = [А2,А1,А0]; equations

BILL = CS fe RD & (ADDR == 0); MARY = CS fe RD fe((ADDR == 0) # (ADDR = D); KATE = CS & RD fe((ADDR == 1) # (ADDR == 7)); JOAN = CS fe RD & (ADDR == 2); PAUL = CS & RD & (ADDR == 3); ANNA = CS & RD & (ADDR == 4); FRED = CS fe RD & (ADDR == 5); DAVE = CS fe RD & (ADDR == 6);

5.4.7. Описаниедешифраторов на языке VHDL

Существует несколько подходов к проектированию дешифраторов на языке VHDL. В простейшем случае следовало бы записать структурный эквивалент принципиальной схемы дешифратора, как это сделано в табл. 5.14 для полного дешифратора 2x4, приведенного нарис. 5.32. Предполагается, что компоненты and3 и inv уже существуют в микросхеме, для которой пишется программа. Конечно, такое механическое преобразование существующих устройств в эквивалент в виде списка соединений в первую очередь убивает желание пользоваться языком VHDL.

Табл. 5.14. Структурная программа дешифратора, изображенного на рис. 5.32, на языке VHDL

library IEEE;

use IEEE.std logic ll64.all;

entity V2to4dec is

port (10, II, EN: m STD.LOGIC;

У0, Yl, Y2, Y3: out STD.LOGIC ); end V2to4dec;

architecture V2to4dec.s of V2to4dec is signal NOTIO, NOTIl: STD.LOGIC;

component inv port (I; in STD.LOGIC; 0: out STD.LOGIC ); end component; component and3 port (10, II, 12: in STD.LOGIC; 0: out STD.LOGIC ); end component; begin

Ul: inv port map (10,NOTIO); U2: inv port map (11,NOTIl); U3: andS port map (NOTIO,NOTIl,EN,YO); U4: andS port map ( ID,NOTIl,EN,Yl) U5: and3 port map (NOTIO, I1,EN,Y2) U6: andS port map ( 10, Il,EN,Y3) end V2to4dec.s;



Вместо этого хотелось бы написать профамму, в которой язык VHDL был бы использован так, чтобы сделать проектирование дешифратора более понятным и удобным. В табл. 5.15 продемонстрирован один из подходов к написанию профаммы полного дешифратора 3x8, эквивалентного дешифратору 74х 13 8, на языке VHDL в стиле потокового проектирования. Адресные входы А (2 downto 0) и декодированные выходньге сигналы с низким активным уровнем Y L (О to 7) ради удобства чтения представлены в виде векторов. В операторе select перечислены восемь случаев декодирования, в каждом из которых 8-разрядному внутреннему сигналу Y L i присваивается соответствующая комбинащ1я входных сигналов с низким активным уровнем. Эта комбинация присваивается фактическому выходному сигналу схемы YL только в том случае, когда сигналы на всех входах разрешения активны.

Табл. 5.15. VHDL-программа полного дешифратора 3x8 типа 74x138 в стиле потокового проектирования

library IEEE;

use IEEE.8td logic 1164.all;

entity V74xl38 is

port (Gl, G2A L, G2B L: in STD.LOGIC; -- enable inputs

A: in STD LOGIC VECTOR (2 downto 0); - select inputs

Y L: out STD.LOGIC.VECTOR (0 to 7) ); ~ decoded outputs end V74xi38;

architecture V74xl38 a of V74xl38 is

signal Y L i: STD LOGIC VECTOR (0 to 7); begin

with A select Y L i <=

0111Ш1 when ООО ,

10111Ш when 001 ,

llOimi when 010 ,

11101111 when Oil ,

IIUOIU when 100 ,

11111011 when 101 ,

11111101 when 110 ,

11111110 when 111 ,

11111111 when others; Y L <= Y L i when (Gl and not G2A L and not G2B L)=l els 11111111 ; end V74xl38 a;

Этот вариант хорош для начала и работает, но в нем есть скрытая ловушка. Корректировки, учитывающие тот факт, что два входных и все выходные сигналы имеют низкий активный уровень, оказываются спрятанными в заключительном операторе присваивания. Это верно, что большинство профамм на языке VHDL пишется почти полностью для сигналов с высоким активным уровнем, но если мы разрабатываем устройство с низкими активными уровнями сигналов на внешних выводах, то нам действительно следует привести их к более систематическому и более удобному виду.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 [ 140 ] 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.