Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 [ 160 ] 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

1/4 74x86

АО-X 3 A3 \\ \ ii DIFF3

А1-%,б DIFF1 Т

DF01 L

74x00

DF23 L

-DIFF

Рис. 5.78. Компараторы на основе ИС 74x86; (а) 1 -разрядный компаратор; (Ь) 4-разрядный компаратор

5.9.2. Итерационные схемы

Итерационная схема (iterative circuit) представляет собой специальный тип комбинационной схемы, структура которой показана на рис. 5.79. Схема состоит из п идентичных модулей, каждый из которых имеет как первичные входы и выходы (primary inputs and outputs), так и междукаскадные входы и выходы (cascading inputs and outputs) В большинстве итерационных схем у крайнего левого модуля имеются отдельные входы, называемые граничными входами (boundary inputs) и на них подаются фиксированные логические значения. Специально выделенные выходы крайнего правого модуля называются граничными выходами (boundary outputs), и обычно сигналы на этих выходах несут важную информацию.

итерационный компаратор

Рассмотренные в разделе 5.9.1 и-разрядные компараторы можно было бы назвать параллельными компараторами, потому что в них одновременно анализируется каждая пара входных битов и 1-разрядные результаты сравнения параллельно поступают на и-входовую схему ИЛИ или И. Можно также создать итерационный компаратор , где сравнение производится поочередно в каждом разряде; в таком компараторе на разряд приходится небольшое фиксированное число логических элементов Прежде чем браться за реализацию итерационного компаратора, следует разобраться в общем понятии итерационные схемы , о которых идет речь в разделе 5.9 2.

ный компаратор, как показано на рис. 5.78(b). Сигнал на выходе DIFF появляется в том случае, когда хотя бы в одном разряде значения входных сигналов различны. При наличии в достаточном количестве схем ИСКЛЮЧАЮЩЕЕ ИЛИ и вентилей ИЛИ с большим числом входов можно строить компараторы с любым числом входов.

(Ь) 74x86

АО-Ц. 3 DIFFO



междукаскадный вход

первичные входы

междукаскацный выход

граничные входы

граничные выходы

первичные выходы

Рис. 5.79. Общая структура итерационной комбинационной схемы.

Итерационные схемы очень хорошо подходят для задач, которые можно решать применяя простой итерационный алгоритм:

1. Устанавливаем начальное значение и = 0.

2. Используем С и Р/ для определения значений РО и С .

3. Увеличиваем значение / на 1.

4. Если / < и, то переходим к шагу 2.

В итерационной схеме цикл, состоящий из шагов 2-4, распараллелен путем выполнения шага 2 для каждого значения / отдельной комбинационной схемой.

Примерами итерационных схем могут служить компараторы, рассматриваемые в следующем разделе, а также сумматор со сквозным переносом, приведенный в разделе 5.10.2.4-разрядный компаратор 74x85 и 4-разрядный сумматор 74x283 являются примерами СИС, которые можно использовать в качестве отдельных модулей в большой итерационной схеме. В параграфе 8.6 мы рассмотрим связь между итерационными схемами и соответствующими последовательностными схемами, которые реализуют описанный выше алгоритм по шагам, выполняемым в последовательные моменты времени.

5.9.3. Итерационная схема компаратора

Две и-разрядные величины Х и У можно сравнивать поочередно в каждом разряде, используя на каждом шаге единственный бит ЕО для слежения за тем, что до данного шага включительно во всех парах биты были одинаковы:

1. Устанавливаем ЕО = 1 и / = 0.

2. Если EQ=\k значениях и Y, одинаковы, то устанавливаем EQj = 1. В противном случае устанавливаем EQj = 0.

3. Увеличиваем значение / на 1.

4. Если / < и, то переходим к шагу 2.



На рис. 5.80 приведена соответствующая итерационная схема. Заметьте, что в этой схеме нет никаких первичных выходов; нас интересует только граничный выход. У других итерационных схем, например, у сумматора со сквозньем переносом, который будет рассмотрен в разделе 5.10.2, имеются первичные выходы, и значения сигналов на этих выходах существенны.

X(N-1) Y(N-1)

X Y CMP EQI EQO

X Y CMP EQI EQO

X Y CMP EQI EQO

EQ3 EQ(N-1)

X Y CMP EQI EQO

(a) 1

EQICb

Рис. 5.80. Схема итерационного компаратора: (а) одноразрядный модуль, (Ь) полная схема

Имея возможность выбирать между итерационной схемой компаратора, рассмотренной в этом разделе, и одним из параллельных компараторов, приведенных ранее, вы, вероятно, отдадите предпочтение параллельному компаратору. Итерационный компаратор, возможно, позволит немного сэкономить в стоимости; но он очень медленный, потому что при последовательном включении модулей сигналам требуется время, чтобы распространиться от крайнего левого модуля до крайнего правого. Более перспективными с точки зрения использования в практических разработках являются итерационные схемы, в которых на каждом шаге обрабатывается большее число битов, для чего в качестве модулей применяются, например, 4-разрядные компараторы 74x85 или 4-разрядные сумматоры 74x283.

5.9.4. Стандартные компараторы в интегральном исполнении

Область применения компараторов настолько широка, что для серийного производства было разработано несколько СИС, являющихся компараторами. На рис. 5.81 дано условное обозначение 4-разрядного компаратора 74x85. У него есть выходы больше (AGTBOUT), меньше (ALTBOUT) и равно (AEQBOUT). У ИС 85 имеются также входы для каскадного включения (cascading inputs) (AGTBIN, ALTBIN, AEQBIN) для объединения нескольких таких ИС с целью создания компаратора с числом разрядов больше четырех. Сигналы на межкаскадных входах и выходах являются словами кода 1 из 3 , так как при нормальной работе только на одном входе и на одном выходе должен присутствовать сигнал с активным уровнем.



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 [ 160 ] 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.