Звоните! 
 (926)274-88-54 
 Бесплатная доставка. 
 Бесплатная сборка. 
Ассортимент тканей

График работы:
Ежедневно. С 8-00 до 20-00.
Почта: soft_hous@mail.ru
Читальный зал -->  Программные средства foundation 

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 [ 283 ] 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359

Табл. 8.31. Описание работы 8-разрядного регистра сдвига с расширенными функциями

Входы Следующее состояние

Функция

Хранение

Загрузка

Сдвиг вправо

Сдвиг влево

Циклическийсдвигвправо

Циклический Сдвиг влево

Арифметический сдвиг вправо 1

Арифметический сдвиг влево

В табл. 8.32 приведена поведенческая VHDL-профамма для регистра сдвига с расширенными функциями. Как и в предыдущих примерах, определяется процесс и для обеспечения желаемого переключения по фронту тактового сигнала используется признак event. Заслуживают внимание следующие особенности этой программы:

Введен внутренний сигнал IQ, который в конце концов становится выходным сигналом Q, но таким, что его могут читать и писать операторы процесса. Можно было бы поступить и иначе, определив тип выходного сигнала Q как buffer .

Вход CLR является асинхронным; поскольку этот сигнал входит в список чувствительности процесса, он проверяется всякий раз, когда претерпевает изменение. Операторам IF придана такая структура, что учет значения CLR предшествует анализу любого другого условия.

Дяя определения операций, реализуемых регистром сдвига при восьми возможных значениях входных сигналов выбора S (2 downto О), применен оператор CASE.

В операторе CASE необходимо предусмотреть случай when others , чтобы предотвратить предупреждение компилятора о том, что примерно 2 случаев остаются не принятыми во внимание.

Оператор nul 1 указывает, что в некоторых случаях никаких действий производить не надо. Заметьте, что ничего не нужно делать в случае 0; бездействие зарезервировано в качестве сигнала удержания сохраняемых регистром данных до тех пор, пока не будет велено поступить иначе.

В большинстве случаев для образования 8-разрядного массива из 7-разрядного подмножества IQ и еще одного бита применяется оператор конкатенации & .

Из-за строгих требований языка VHDL к согласованию типов в операторе CASE используется определенная в разделе 4.7.4 функция CONV INTEGER для преобразования входного сигнала выбора S типа STD LOGIC VECTOR в целое число. Можно было бы сделать иначе, записав метку каждого случая как элемент типа STD LOGIC VECTOR [например: (О, 1, 1), а не целое число 3].



library IEEE;

use IEEE.srd logic 1164.all;

entity Vshftreg is port (

CLK, CLR, RIN, LIS; in STD.LOGIC; S: in STD.LOGIC.VECTOR (2 downto 0); D: in STD.LOaiC.VEGTOR (7 downto 0); Q: out STD.LOGIC.VECTOR (7 downto 0) );

end Vshftreg;

architecture Vshftreg.arch of Vshftreg is signal IQ: STD.LOGIC.VECTOR (7 downto 0); begin

process (CLK, CLR, IQ) begin

if (CLR=l) then IQ <= (others=>0); - elsif (CLKevent and CLK=l) then case GOSV IHTEGER(S) is

when 0 => null;

when 1 => IQ <= D;

when 2 => IQ <= RIN & IQ(7 downto 1); when 3 => IQ <= IQ(6 downto 0) & LIN; when 4 => IQ <= IQ(0) к IQ(7 downto 1) when 5 => IQ <= IQ(6 downto 0) & IQ(7) when 6 => IQ <= IQ(7) & IQ(7 downto 1) when 7 => IQ <= IQ(6 downto 0) & 0; when others => null; end case; end if; Q <= IQ; end process; end Vshftreg.arch;

- function select

- data ia ~ data out

Asynchronons clear

- Hold

- Load

- Shift right

- Shift left

~ Shift circular right -- Shift circular left

- Shift arithmetic right

- Shift arithmetic left

Одно из применений регистров сдвига - это кольцевые счетчики; примером такого применения служит рассмотренный в предыдущем разделе генератор шестифазных колебаний, изображенных нарис. 8.71. В табл. 8.33 приведена VHDL-программа, обеспечивающая такое же поведение устройства. Как и в предыдущем VHDL-примере для чтения и записи используется внутренний сигнальный вектор IPC высоким активным уровнем, становящийся в конпе концов выходным сигналом устройства, чтобы получить требуемый выходной сигнальный вектор с низким активным уровнем, удобно инвертировать этот внутренний сигнал в последнем операторе. Остальная часть профаммы не содержит никаких особенностей, но заметьте, что у вложенного оператора IF имеются три уровня.

Табл. 8.32. VHDL-программа для 8-разрядного регист{)а сдвига с расширенными функциями



Табл. 8.33. VHDL-программа для генератора шестифазных колебаний

library IEEE;

use IEEE.std logic li64.all;

entity Vxiaegne is port (

HCLK, RESET, RON, BSSTART: in STD.LOGIC; - clock, control lacuts P L; out STD LOGIC VECTOR (1 to 6) - active-low phase outputs

end Vtimegn6;

architecture ¥timegn6 arch of ¥timegn6 is

signal IP: STD LOGic ¥ECTOR (1 to 6); - internal active-high phase signals signal Tl: STD.LOGIC; - first tick within phase

begiE

process (HCLK, IP) begin

if (MCLKevent and KCLK=i) then if (P.ESET=r) then

Tl <= 1; IP <= (0,0,0,0,0,0); elsif ((IP=(Q,O,O,O.Q,0)) or (RESTART==i)) then

Tl 1; IP <= CI,0,0,0,0.0) ; elsif (RI5S=l) then

Tl <= not Tl;

if (T1=0) then IP < IP(6) к IP(1 tc 5); end if; end if; end if;

P L <~ not IP; ead process; end Vtiaegn6 arch;

Возможной модификацией рассмотренного приложения является устройство, выходные колебания которого удерживаются на активном уровне только во втором такте каждой фазы длительностью в два такта; эти колебания были показаны на рис. 8.72. Один из способов достичь этого заключается в создании 12-разрядного кольцевого счетчика и использовании выходов только каждого второго триггера. При реализации такого устройства VHDL-средствами в определении объекта фигурировали бы только шесть фазовых выходных сигналов P L (1 to 6). Шесть дополнительных сигналов, названных NEXT Р (1 to б), объявлены в определении архитектуры и являются локальными. На рис. 8.73 показано соотношение между этими сигналами при выполнении операции сдвига, а в табл. 8.34 приведена соответствующая VHDL-программа.

NEXTP(1 Id 6)

1Р(1 to 6) (PJ. <=notiP) i

pi. г ->

r 5

P 6 -

U- 2 -

U- 3

U- 4

L. 5

Рис. 8.73. Последовательность сдвигов в генераторе шестифазных колебаний на основе 12-разрядного кольцевого счетчика



1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 [ 283 ] 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359



ООО «Мягкий Дом» - это Отечественный производитель мебели. Наша профильная продукция - это диваны еврокнижка. Каждый диван можем изготовить в соответствии с Вашими пожеланияи (размер, ткань и материал). Осуществляем бесплатную доставку и сборку.



Звоните! Ежедневно!
 (926)274-88-54 
Продажа и изготовление мебели.


Копирование контента сайта запрещено.
Авторские права защищаются адвокатской коллегией г. Москвы
.